0000000001191547
AUTHOR
Timo Sajavaara
Trajectory bending and energy spreading of charged ions in time-of-flight telescopes used for ion beam analysis
Carbon foil time pick-up detectors are widely used in pairs in ion beam applications as time-of-flight detectors. These detectors are suitable for a wide energy range and for all ions but at the lowest energies the tandem effect limits the achievable time of flight and therefore the energy resolution. Tandem effect occurs when an ion passes the first carbon foil of the timing detector and its charge state is changed. As the carbon foil of the first timing detector has often a non-zero voltage the ion can accelerate or decelerate before and after the timing detector. The combination of different charge state properties before and after the carbon foil now induces spread to the measured times…
Mobility determination of lead isotopes in glass for retrospective radon measurements rad
In retrospective radon measurements, the 22-y half life of 210Pb is used as an advantage. 210Pb is often considered to be relatively immobile in glass after alpha recoil implanted by 222Rn progenies. The diffusion of 210Pb could, however, lead to uncertain wrong retrospective radon exposure estimations if 210Pb is mobile and can escape from glass, or lost as a result of cleaning-induced surface modification. This diffusion was studied by a radiotracer technique, where 209Pb was used as a tracer in a glass matrix for which the elemental composition is known. Using the ion guide isotope separator on-line technique, the 209Pb atoms were implanted into the glass with an energy of 39 keV. The di…
Evaluation and Comparison of Novel Precursors for Atomic Layer Deposition of Nb2O5 Thin Films
Atomic layer deposition (ALD) of Nb2O5 thin films was studied using three novel precursors, namely, tBuN═Nb(NEt2)3, tBuN═Nb(NMeEt)3, and tamylN═Nb(OtBu)3. These precursors are liquid at room temperature, present good volatility, and are reactive toward both water and ozone as the oxygen sources. The deposition temperature was varied from 150 to 375 °C. ALD-type saturative growth modes were confirmed at 275 °C for tBuN═Nb(NEt2)3 and tBuN═Nb(NMeEt)3 together with both oxygen sources. Constant growth rate was observed between a temperature regions of 150 and 325 °C. By contrast, amylN═Nb(OtBu)3 exhibited limited thermal stability and thus a saturative growth mode was not achieved. All films we…
Atomic Layer Deposition of Ruthenium Films from (Ethylcyclopentadienyl)(pyrrolyl)ruthenium and Oxygen
Ru films were grown by atomic layer deposition in the temperature range of 275―350°C using (ethylcyclopentadienyl)(pyrrolyl)ruthenium and air or oxygen as precursors on HF-etched Si, SiO 2 , ZrO 2 , and TiN substrates. Conformal growth was examined on three-dimensional silicon substrates with 20:1 aspect ratio. ZrO 2 promoted the nucleation of Ru most efficiently compared to other substrates, but the films roughened quickly on ZrO 2 with increasing film thickness. The minimum number of cycles required to form continuous and conductive metal layers could be decreased by increasing the length of the oxygen pulse. In order to obtain well-conducting Ru films growth to thicknesses of at least 8―…
Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition
Abstract Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R rms values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on ro…
Advanced time-stamped total data acquisition control front-end for MeV ion beam microscopy and proton beam writing
Many ion-matter interactions exhibit [email protected] time dependences such as, fluorophore emission quenching and ion beam induced charge (IBIC). Conventional event-mode MeV ion microbeam data acquisition systems discard the time information. Here we describe a fast time-stamping data acquisition front-end based on the concurrent processing capabilities of a Field Programmable Gate Array (FPGA). The system is intended for MeV ion microscopy and MeV ion beam lithography. The speed of the system (>240,000 events s^-^1 for four analogue to digital converters (ADC)) is limited by the ADC throughput and data handling speed of the host computer.
Iridium metal and iridium oxide thin films grown by atomic layer deposition at low temperatures
Atomic layer deposition (ALD) of both iridium and iridium oxide films at low temperatures has been studied and the resulting films have been examined by XRD, FESEM, XRR, EDX, AFM, TOF-ERDA, and four point probe measurements. Iridium oxide films were successfully grown using (MeCp)Ir(CHD) and ozone between 100 and 180 °C, however, the density of the films substantially reduced at 120 °C and below. The density reduction was accompanied by a phase change from crystalline to amorphous IrO2. Metallic iridium films were deposited between 120 and 180 °C by adding a reductive hydrogen pulse after the oxidative ozone pulse. Comparison of these processes with the earlier process employing the same Ir…
Evidence of quantum phase slip effect in titanium nanowires
Electron transport properties of titanium nanowires were experimentally studied. Below the effective diameter $\lesssim$ 50 nm all samples demonstrated a pronounced broadening of the $R(T)$ dependencies, which cannot be accounted for thermal flcutuations. An extensive microscopic and elemental analysis indicates the absence of structural or/and geometrical imperfection capable to broaden the the $R(T)$ transition to such an extent. We associate the effect with quantum flucutuations of the order parameter.
Ion-sputtering deposition of Ca–P–O films for microscopic imaging of osteoblast cells
Abstract An ion-beam sputtering technique was used to produce Ca–P–O films on borosilicate glass at room temperature from hydroxyapatite targets using nitrogen, argon and krypton beams at different acceleration voltages. The sputtering target was pressed from high purity hydroxyapatite powder or mixture of high purity hydroxyapatite powder and red phosphorus in order to optimise the film composition. The film composition, determined using time-of-flight elastic recoil detection analysis (TOF–ERDA), was found to be strongly dependent on the ion energy used for deposition. By extra doping of the target with P the correct Ca/P atomic ratio in the deposited films was reached. The films deposite…
In-Operando Lithium-Ion Transport Tracking in an All-Solid-State Battery.
An all-solid-state battery is a secondary battery that is charged and discharged by the transport of lithium ions between positive and negative electrodes. To fully realize the significant benefits of this battery technology, for example, higher energy densities, faster charging times, and safer operation, it is essential to understand how lithium ions are transported and distributed in the battery during operation. However, as the third lightest element, methods for quantitatively analyzing lithium during operation of an all-solid-state device are limited such that real-time tracking of lithium transport has not yet been demonstrated. Here, the authors report that the transport of lithium …
Time-of-flight telescope for heavy-ion RBS
Abstract This paper describes a time-of-flight (TOF) spectrometer for Heavy-Ion Rutherford Backscattering Spectrometry (HI-RBS) recently installed at IMEC for thin film analysis. The TOF telescope allows the use of ion beams heavier than He, with advantages in terms of depth and mass resolution and sensitivity compared to conventional RBS based on planar Si detectors. The start timing-signal is produced by the secondary electrons emitted from a thin C foil when traversed by a backscattered ion; the electrons are deflected in an electrostatic mirror towards a Micro-channel plate (MCP) assembly which provides a fast timing response. The stop signal is obtained directly from a second MCP assem…
Mass calibration of the energy axis in ToF- E elastic recoil detection analysis
We report on procedures that we have developed to mass-calibrate the energy axis of ToF-E histograms in elastic recoil detection analysis. The obtained calibration parameters allow one to transform the ToF-E histogram into a calibrated ToF-M histogram.
Atomic layer deposition of LixTiyOz thin films
Atomic layer deposition (ALD) was employed to deposit ternary films of LixTiyOz. The film growth at a deposition temperature of 225 °C was studied using both titanium tetra-isoropoxide (Ti(OiPr)4) and titanium tetrachloride (TiCl4) as titanium precursors. Lithium tert-butoxide (LiOtBu) was applied as the lithium source and water was used as the oxygen source for all metal precursors. The type of titanium precursor chosen strongly affected film growth: with TiCl4 the resulting LixTiyOz films were highly air-sensitive and the lithium concentration was low, whereas with Ti(OiPr)4 the films were relatively stable in air and with a lithium content which was easily controlled over a wide range. F…
Nanoscale etching of III-V semiconductors in acidic hydrogen peroxide solution: GaAs and InP, a striking contrast in surface chemistry
In this study of nanoscale etching for state-of-the-art device technology, the importance of surface chemistry, in particular the nature of the surface oxide, is demonstrated for two III-V materials. Striking differences in etching kinetics were found for GaAs and InP in sulphuric and hydrochloric acidic solutions containing hydrogen peroxide. Under similar conditions, etching of GaAs was much faster, while the dependence of the etch rate on pH, and on H2O2 and acid concentrations also differed markedly for the two semiconductors. Surface analysis techniques provided information on the product layer present after etching: strongly non-stoichiometric porous (hydr)oxides on GaAs and a thin st…
Low-temperature atomic layer deposition of ZnO thin films: Control of crystallinity and orientation
Abstract Low-temperature atomic layer deposition (ALD) processes are intensely looked for to extend the usability of the technique to applications where sensitive substrates such as polymers or biological materials need to be coated by high-quality thin films. A preferred film orientation, on the other hand, is often required to enhance the desired film properties. Here we demonstrate that smooth, crystalline ZnO thin films can be deposited from diethylzinc and water by ALD even at room temperature. The depositions were carried out on Si(100) substrates in the temperature range from 23 to 140 °C. Highly c-axis-oriented films were realized at temperatures below ~ 80 °C. The film crystallinit…
Characterization and Electrochemical Properties of Oxygenated Amorphous Carbon (a-C) Films
Amorphous carbon (a-C) films with varying oxygen content were deposited by closed-field unbalanced magnetron sputtering with the aim to understand the effect of oxygen on the structural and physical properties of the films and subsequently correlate these changes with electrochemical properties. The a-C films were characterized by transmission electron microscopy, helium-ion microscopy, atomic force microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and time-of-flight elastic recoil detection analysis. The electrochemical properties were studied by electrochemical impedance spectroscopy and cyclic voltammetry with several redox systems (Ru(NH3)62+/3+, Fe(CN)64−/3−, dopamine an…
Al2O3 ALD films grown using TMA + rare isotope 2H216O and 1H218O precursors
Abstract In this work hydrogen and oxygen migration and exchange reactions in the atomic layer deposited (ALD) Al2O3 thin films were studied together with hydrogen incorporation by varying deposition parameters. Al2O3 films deposited at low temperatures can contain more than 20 at.% of hydrogen. Both higher temperature and longer purge length decrease the hydrogen and carbon concentrations significantly. In order to track the hydrogen and oxygen movement in the films, heavy water (2 H 2 16 O) and oxygen-18 enriched water (1 H 2 18 O) were used as precursors in combination with trimethylaluminium (TMA). Different isotopes of the same element were quantified by means of time-of-flight elastic…
Proceedings of the 44. Annual Conference of the Finnish Physical Society : March 11-13, 2010, Jyväskylä
Alkylsilyl compounds as enablers of atomic layer deposition: analysis of (Et3Si)3As through the GaAs process
A new chemistry has been developed to deposit GaAs, the quintessential compound semiconductor. The ALD process is based on a dechlorosilylation reaction between GaCl3 and (Et3Si)3As. Characteristic ALD growth was demonstrated, indicating good applicability of the alkylsilyl arsenide precursor. ALD of GaAs produced uniform, amorphous and stoichiometric films with low impurity content. This was done with saturating growth rates and an easily controlled film thickness. Crystallization was achieved by annealing. Even though the growth rate strongly decreased with increasing deposition temperature, good quality film growth was demonstrated at 175 to 200 °C, indicating the presence of an ALD wind…
Wettability and compositional analysis of hydroxyapatite films modified by low and high energy ion irradiation
Abstract Hydroxyapatite-like thin films on silicon substrate were deposited using atomic layer deposition and were subjected to irradiation with Ar ions accelerated through 0.6–1.2 kV as well as 2 MeV 16 O + ions. After low energy Ar irradiation a significant reduction in contact angle was observed. However, the Ca/P atomic ratio remained unchanged. No reduction in contact angle was seen for high energy 16 O + irradiation. Atomic force microscopy showed the enhancement of floral-like pattern after low energy Ar bombardment while high energy oxygen irradiation lead to raised islands on as-deposited films.
Experimental evidence on photo-assisted O− ion production from Al2O3 cathode in cesium sputter negative ion source
The production of negative ions in cesium sputter ion sources is generally considered to be a pure surface process. It has been recently proposed that ion pair production could explain the higher-than-expected beam currents extracted from these ion sources, therefore opening the door for laser-assisted enhancement of the negative ion yield. We have tested this hypothesis by measuring the effect of various pulsed diode lasers on the O − beam current produced from Al 2O 3 cathode of a cesium sputter ion source. It is expected that the ion pair production of O − requires populating the 5d electronic states of neutral cesium, thus implying that the process should be provoked only with specific …
Hydrogen and Deuterium Incorporation in ZnO Films Grown by Atomic Layer Deposition
Zinc oxide (ZnO) thin films were grown by atomic layer deposition using diethylzinc (DEZ) and water. In addition to depositions with normal water, heavy water (2H2O) was used in order to study the reaction mechanisms and the hydrogen incorporation at different deposition temperatures from 30 to 200 °C. The total hydrogen concentration in the films was found to increase as the deposition temperature decreased. When the deposition temperature decreased close to room temperature, the main source of impurity in hydrogen changed from 1H to 2H. A sufficiently long purging time changed the main hydrogen isotope incorporated in the film back to 1H. A multiple short pulse scheme was used to study th…
Low-temperature molecular layer deposition using monifunctional aromatic precursors and ozone-based ring-opening reactions
Molecular layer deposition (MLD) is an increasingly used deposition technique for producing thin coatings consisting of purely organic or hybrid inorganic-organic materials. When organic materials are prepared, low deposition temperatures are often required to avoid decomposition, thus causing problems with low vapor pressure precursors. Monofunctional compounds have higher vapor pressures than traditional bi- or trifunctional MLD precursors, but do not offer the required functional groups for continuing the MLD growth in subsequent deposition cycles. In this study, we have used high vapor pressure monofunctional aromatic precursors in combination with ozone-triggered ring-opening reactions…
Minimum detection limits and applications of proton and helium induced X-ray emission using transition-edge sensor array
Abstract We have determined minimum detection limits, MDLs, for elements 14 ⩽ Z ⩽ 86 using a transition-edge sensor array, TES array, and as a comparison using an Amptek X-123SDD silicon drift detector, SDD. This was done using a 3 MeV proton beam and a 5.1 MeV helium beam. MDLs were determined for a thin film sample on top of C substrate, and for a bulk sample containing mostly Al. Due to the higher peak-to-background ratio, lower detection limits were obtainable using the TES array for most of the elements. However, for elements 30 ⩽ Z ⩽ 45 the performance of the TES array was not as good as the SDD performance. This is due to the limitations of the TES used at energies >10 keV. The great…
Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
Due to the safety challenges associated with the use of trimethylaluminum as a metal precursor for the deposition of alumina, different chemicals have been investigated over the years to replace it. The authors have investigated the use of aluminum tri-isopropoxide (TIPA) as an alternative alkoxide precursor for the safe and cost-effective deposition of alumina. In this work, TIPA is used as a stable Al source for atomic layer deposition (ALD) of Al2O3 when different oxidizing agents including water, oxygen plasma, water plasma, and ozone are employed. The authors have explored the deposition of Al2O3 using TIPA in ALD systems operating in vacuum and atmospheric pressure conditions. For the…
Elongation and plasmonic activity of embedded metal nanoparticles following heavy ion irradiation
Shape modification of embedded nanoparticles by swift heavy ion (SHI) irradiation is an effective way to produce nanostructures with controlled size, shape, and orientation. In this study, randomly oriented gold nanorods embedded in SiO2 are shown to re-orient along the ion beam direction. The degree of orientation depends on the irradiation conditions and the nanorod's initial size. SHI irradiation was also applied to modify spherical metallic nanoparticles embedded in Al2O3. The results showed that they elongate due to the irradiation comparably to those embedded in SiO2. Metallic nanostructures embedded in dielectric matrices can exhibit localized surface plasmon (LSP) modes. The elongat…
Depth resolution optimization for low-energy ERDA
Abstract With the implementation of low-energy time-of-flight Elastic Recoil Detection Analysis (ERDA), routine analysis of thin films with high depth resolution becomes possible. The optimization of the measurement conditions is a key issue for an accurate sample characterization and is normally a compromise among depth resolution, mass resolution and sensitivity, for a given sample. In this work, we focus on the depth resolution optimization, presenting an extensive study of two different materials, SiO 2 and TiN, representative of light and medium mass targets. The film thickness varies between 10 and 50 nm. The samples were measured with different beams ( 35 Cl, 63 Cu, 79 Br and 127 I),…
Investigation of ZrO[sub 2]–Gd[sub 2]O[sub 3] Based High-k Materials as Capacitor Dielectrics
Atomic layer deposition (ALD) of ZrO 2 ―Gd 2 O 3 nanolaminates and mixtures was investigated for the preparation of a high permittivity dielectric material. Variation in the relative number of ALD cycles for constituent oxides allowed one to obtain films with controlled composition. Pure ZrO 2 films possessed monoclinic and higher permittivity cubic or tetragonal phases, whereas the inclusion of Gd 2 O 3 resulted in the disappearance of the monoclinic phase. Changes in phase composition were accompanied with increased permittivity of mixtures and laminates with low Gd content. Further increase in the lower permittivity Gd 2 O 3 content above 3.4 cat. % resulted in the decreased permittivity…
Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
A comparative study of mechanical properties and elemental and structural composition was made for aluminum nitride thin films deposited with reactive magnetron sputtering and plasma enhanced atomic layer deposition (PEALD). The sputtered films were deposited on Si (100), Mo (110), and Al (111) oriented substrates to study the effect of substrate texture on film properties. For the PEALD trimethylaluminum–ammonia films, the effects of process parameters, such as temperature, bias voltage, and plasma gas (ammonia versus N2/H2), on the AlN properties were studied. All the AlN films had a nominal thickness of 100 nm. Time-of-flight elastic recoil detection analysis showed the sputtered films t…
Atomic layer deposition of polyimide thin films
The atomic layer deposition (ALD) of different polyimide thin films has been studied. We have demonstrated self-limiting ALD deposition of PMDA–DAH, PMDA–EDA, PMDA–ODA and PMDA–PDA thin films at 160 °C. The maximum deposition rate of 5.8 A cycle−1 was obtained for the PMDA–DAH process. Although the deposition rate was high at 160 °C, a sudden decrease was observed when the temperature was increased. Regardless of the process studied, no film growth was obtained at 200 °C or above. Deposited polyimide films were analysed by FTIR, AFM and TOF-ERDA. According to the FTIR measurements, imide bonds were formed already in as-deposited films indicating polyimide formation without any additional th…
Why are hydrogen ions best for MeV ion beam lithography?
The exposure characteristics of poly-(methyl methacrylate) (PMMA) for 2MeV ^1H^+, 3MeV ^4He^2^+ and 6MeV ^1^2C^3^+ have been investigated. The samples were characterised using Atomic Force Microscopy (AFM), optical microscopy and Raman spectroscopy. Development was carried out using a 7:3 propan-2-ol:H"2O mixture to determine clearing and cross-linking fluences. It was found that protons had a considerably wider tolerance to exposure variations and a smaller span of doses within the ion track. Furthermore, the void formation and consequent stress-induced surface roughening were smaller for protons. For all ions, the C?C bond Raman signal increased continuously with dose and fluence, even we…
Nucleation and growth of ZnO on PMMA by low-temperature atomic layer deposition
ZnO films were grown by atomic layer deposition at 35 °C on poly(methyl methacrylate) substrates using diethylzinc and water precursors. The film growth, morphology, and crystallinity were studied using Rutherford backscattering spectrometry, time-of-flight elastic recoil detection analysis, atomic force microscopy, scanning electron microscopy, and x-ray diffraction. The uniform film growth was reached after several hundreds of deposition cycles, preceded by the precursor penetration into the porous bulk and island-type growth. After the full surface coverage, the ZnO films were stoichiometric, and consisted of large grains (diameter 30 nm) with a film surface roughness up to 6 nm (RMS). T…
Atomic layer deposition of ternary ruthenates by combining metalorganic precursors with RuO4 as the co-reactant
In this work, the use of ruthenium tetroxide (RuO4) as a co-reactant for atomic layer deposition (ALD) is reported. The role of RuO4 as a co-reactant is twofold: it acts both as an oxidizing agent and as a Ru source. It is demonstrated that ALD of a ternary Ru-containing metal oxide (i.e. a metal ruthenate) can be achieved by combining a metalorganic precursor with RuO4 in a two-step process. RuO4 is proposed to combust the organic ligands of the adsorbed precursor molecules while also binding RuO2 to the surface. As a proof of concept two metal ruthenate processes are developed: one for aluminum ruthenate, by combining trimethylaluminum (TMA) with RuO4; and one for platinum ruthenate, by c…
Atomic scale surface modification of TiO2 3D nano-arrays : plasma enhanced atomic layer deposition of NiO for photocatalysis
Here we report the development of a new scalable and transferable plasma assisted atomic layer deposition (PEALD) process for the production of uniform, conformal and pinhole free NiO with sub-nanometre control on a commercial ALD reactor. In this work we use the readily available nickel precursor nickelocene in conjunction with O2 plasma as a co-reagent (100 W) over a temperature range of 75–325 °C. An optimised growth per cycle of 0.036 nm was obtained at 250 °C with uniform thickness and coverage on scale-up to and including an 6 inch Si wafer (with a 200 nm thermal SiO2 top layer). The bulk characteristics of the NiO thin films were comprehensively interrogated by PXRD, Raman spectrosco…
Using Wave Propagation Simulations and Convolutional Neural Networks to Retrieve Thin Film Thickness from Hyperspectral Images
Ill-posed inversion problems are one of the major challenges when there is a need to combine measurements with the theory and numerical model. In this study, we demonstrate the use of wave propagation simulations to train a convolutional neural network (CNN) for retrieving sub-wavelength thickness profiles of thin film coatings from hyperspectral images. The simulations are produced by solving numerically one-dimensional wave equation with a method based on Discrete Exterior Calculus (DEC). This approach provides a powerful tool to produce large sets of training data for the neural network. CNN was verified by simulated verification sets and measured reflectance spectra, both of which showe…
A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
For large-scale atomic layer deposition (ALD) of alumina, the most commonly used alkyl precursor trimethylaluminum poses safety issues due to its pyrophoric nature. In this work, the authors have investigated a liquid alkoxide, aluminum tri-sec-butoxide (ATSB), as a precursor for ALD deposition of alumina. ATSB is thermally stable and the liquid nature facilitates handling in a bubbler and potentially enables liquid injection toward upscaling. Both thermal and plasma enhanced ALD processes are investigated in a vacuum type reactor by using water, oxygen plasma, and water plasma as coreactants. All processes achieved ALD deposition at a growth rate of 1-1.4 angstrom/cycle for substrate tempe…
Room-Temperature Micropillar Growth of Lithium-Titanate-Carbon Composite Structures by Self-Biased Direct Current Magnetron Sputtering for Lithium Ion Microbatteries
Here, an unidentified type of micropillar growth is described at room temperature during conventional direct-current magnetron sputtering (DC-MS) deposition from a Li4Ti5O12+graphite sputter target under negative substrate bias and high operating pressure. These fabricated carbon-Li2O-TiO2 microstructures consisting of various Li4Ti5O12/Li2TiO3/LixTiO2 crystalline phases are demonstrated as an anode material in Li-ion microbatteries. The described micropillar fabrication method is a low-cost, substrate independent, single-step, room-temperature vacuum process utilizing a mature industrial complementary metal-oxide-semiconductor (CMOS)-compatible technology. Furthermore, tentative considerat…
Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
Impurities in aluminum nitride films prepared by plasma enhanced atomic layer deposition using NH3-, N2/H2- and N2-based plasmas are investigated by combining time-of-flight elastic recoil detection analysis (ERDA) and Fourier transform infrared spectroscopy. Different atomistic growth mechanisms are found to exist between the plasma chemistries. N2-plasma is shown as not suitable for the low-temperature deposition of AlN. Films deposited by NH3- and N2/H2-based processes are nitrogen rich and heavily hydrogenated. Carbon impurities exist at higher concentrations for the N2/H2-processes. The discovery of nitrile groups in the films indicates that carbon impurities can be partially attribute…
Low temperature atomic layer deposition of noble metals using ozone and molecular hydrogen as reactants
Abstract Atomic layer deposition (ALD) of noble metals by thermal processes has relied mostly on the use of molecular oxygen as a reactant at temperatures of 200 °C and above. In this study, the concept of using consecutive ozone and molecular hydrogen pulses with noble metal precursors in ALD is introduced for palladium, rhodium, and platinum metals. This approach facilitates the growth of noble metal thin films below 200 °C. Also the ALD of palladium oxide thin films is demonstrated by the ozone-based chemistry. The growth rates, resistivities, crystallinities, surface roughnesses, impurity contents, and adhesion of the films to the underlying Al 2 O 3 starting surface are reported and th…
Experimental Linear Energy Transfer of Heavy Ions in Silicon for RADEF Cocktail Species
Experimental linear energy transfer values of heavy ions in silicon are presented with comparison to estimations from different semi empirical codes widely used among the community. This paper completes the experimental LET data for the RADEF cocktail ions in silicon.
Energy-loss straggling of 2-10 MeV/u Kr ions in gases
Measurements have been performed on a time-of-flight setup at the Jyväskylä K130 cyclotron, aiming at energy-loss straggling of heavy ions in gases. Theoretical predictions based on recently developed theory as well as an empirical interpolation formula predict that straggling can be more than ten times higher than Bohr straggling in the MeV/u regime. Our measurements with up to 9.3 MeV/u Kr ions on He, N2, Ne and Kr targets confirm this feature. Our calculations show the relative contributions of linear straggling, bunching including packing, and charge exchange. Our results for stopping cross sections are compatible with values from the literature. Funding Agencies|EU||Academy of Finland …
Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
The plasma etch characteristics of aluminum nitride (AlN) deposited by low-temperature, 200 °C, plasma enhanced atomic layer deposition (PEALD) was investigated for reactive ion etch (RIE) and inductively coupled plasma-reactive ion etch (ICP-RIE) systems using various mixtures of SF6 and O2 under different etch conditions. During RIE, the film exhibits good mask properties with etch rates below 10r nm/min. For ICP-RIE processes, the film exhibits exceptionally low etch rates in the subnanometer region with lower platen power. The AlN film’s removal occurred through physical mechanisms; consequently, rf power and chamber pressure were the most significant parameters in PEALD AlN film remova…
Ozone-Based Atomic Layer Deposition of Al2O3 from Dimethylaluminum Chloride and Its Impact on Silicon Surface Passivation
Dimethylaluminum chloride (DMACl) as an aluminum source has shown promising potential to replace more expensive and commonly used trimethylaluminum in the semiconductor industry for atomic layer deposited (ALD) thin films. Here, the Al2O3 DMACl-process is modified by replacing the common ALD oxidant, water, by ozone that offers several benefits including shorter purge time, layer-by-layer growth, and improved film adhesion. It is shown that the introduction of the ozone instead of water increases carbon and chlorine content in the Al2O3, while long ozone pulses increase the amount of interfacial hydrogen at silicon surface. These are found to be beneficial effects regarding the surface pass…
Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N2:H2 plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the…
Nanorod orientation control by swift heavy ion irradiation
Highly energetic ions have been previously used to modify the shape of metal nanoparticles embedded in an insulating matrix. In this work, we demonstrate that under suitable conditions, energetic ions can be used not only for shape modification but also for manipulation of nanorod orientation. This observation is made by imaging the same nanorod before and after swift heavy ion irradiation using a transmission electron microscope. Atomistic simulations reveal a complex mechanism of nanorod re-orientation by an incremental change in its shape from a rod to a spheroid and further back into a rod aligned with the beam. Highly energetic ions have been previously used to modify the shape of meta…
Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
The suitability of Ti as a band gap modifier for &alpha
Thermal atomic layer deposition of AlOxNy thin films for surface passivation of nano-textured flexible silicon
Abstract Aluminum oxynitride (AlOxNy) films with different nitrogen concentration are prepared by thermal atomic layer deposition (ALD) for flexible nano-textured silicon (NT-Si) surface passivation. The AlOxNy films are shown to exhibit a homogeneous nitrogen-doping profile and the presence of an adequate amount of hydrogen, which is investigated by Time-of-Fight Elastic Recoil Detection Analysis (ToF-ERDA). The effective minority carrier lifetimes are measured after the NT-Si surface passivation; the minimum surface recombination velocity (SRV) of 5 cm-s−1 is achieved with the AlOxNy film in comparison to the Al2O3 and AlN films (SRV of 7–9 cm-s−1). The better SRV with AlOxNy film is due …
Generalized Noise Study of Solid-State Nanopores at Low Frequencies.
Nanopore technology has been extensively investigated for analysis of biomolecules, and a success story in this field concerns DNA sequencing using a nanopore chip featuring an array of hundreds of biological nanopores (BioNs). Solid-state nanopores (SSNs) have been explored to attain longer lifetime and higher integration density than what BioNs can offer, but SSNs are generally considered to generate higher noise whose origin remains to be confirmed. Here, we systematically study low-frequency (including thermal and flicker) noise characteristics of SSNs measuring 7 to 200 nm in diameter drilled through a 20-nm-thick SiNx membrane by focused ion milling. Both bulk and surface ionic curren…
Irradiation-induced damage in porous low-k materials during low-energy heavy-ion elastic recoil detection analysis
Abstract With the implementation of time-of-flight elastic recoil detection (ToF-ERD) for the analysis of thin films with high depth resolution using a standard ‘low-energy’ accelerator, routine application of ERD in semiconductor technology becomes possible. In case of irradiation-sensitive materials, like organosilicate low- k films, the energetic incident beam damages the sample during the measurement, resulting in loss of the lighter elements and, as a consequence, altering the sample composition. The ion beam induced damage is investigated for 19 F, 35 Cl, 63 Cu, 79 Br and 127 I beams at energies of 6–16 MeV and typical fluences for ERD analysis. By means of Fourier transform infrared …
Characterization of 233U alpha recoil sources for 229()Th beam production
Radioactive $^{233}$U alpha recoil sources are being considered for the production of a thorium ion source to study the low-energy isomer in $^{229}$Th with high-resolution collinear laser spectroscopy at the IGISOL facility of the University of Jyv\"askyl\"a. In this work two different $^{233}$U sources have been characterized via alpha and gamma spectroscopy of the decay radiation obtained directly from the sources and from alpha-recoils embedded in implantation foils. These measurements revealed rather low $^{229}$Th recoil efficiencies of only a few percent. Although the low efficiency of one of the two sources can be attributed to its inherent thickness, the low recoil efficiency of th…
Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
Room-temperature plasma-enhanced atomic layer deposition (PEALD) of ZnO was studied by depositing the films using diethylzinc and O2 plasma from inductively-coupled plasma (ICP) and capacitively-coupled plasma (CCP) plasma source configurations. The CCP-PEALD was operated using both remote and direct plasma. It was observed that the films deposited by means of remote ICP and CCP were all highly oxygen rich, independently on plasma operation parameters, but impurity (H, C) contents could be reduced by increasing plasma pulse time and applied power. With the direct CCP-PEALD the film composition was closer to stoichiometric, and film crystallinity was enhanced. The ZnO film growth was observe…
High speed microfluidic prototyping by programmable proximity aperture MeV ion beam lithography
Abstract Microfluidics refers to the science and technology for controlling and manipulating fluids that flow along microchannels. For the development of complex prototypes, many microfluidic test structures are required first. Normally, these devices are fabricated via photolithography. This technique requires a photomask for transferring a pattern to photoresists by exposing with UV light. However, this method can be slow when a new structure is required to change. This is because a series of photomasks are needed, which is time consuming and costly. Here, we present a programmable proximity aperture lithography (PPAL) technique for the development of microfluidic prototype in poly(methyl…
Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
Here we report the development of a new scalable and transferable plasma assisted atomic layer deposition (PEALD) process for the production of uniform, conformal and pinhole free NiO with sub-nanometre control on a commercial ALD reactor. In this work we use the readily available nickel precursor nickelocene in conjunction with O2 plasma as a co-reagent (100 W) over a temperature range of 75–325 °C. An optimised growth per cycle of 0.036 nm was obtained at 250 °C with uniform thickness and coverage on scale-up to and including an 6 inch Si wafer (with a 200 nm thermal SiO2 top layer). The bulk characteristics of the NiO thin films were comprehensively interrogated by PXRD, Raman spectrosco…
Development of a MeV ion beam lithography system in Jyväskylä
A lithographic facility for writing patterns with ion beams from cyclotron beams is under development for the Jyväskylä cyclotron. Instead of focusing and deflecting the beam with electrostatic and magnetic fields a different approach is used. Here a small rectangular beam spot is defined by the shadow of a computer-controlled variable aperture in close proximity to the sample. This allows parallel exposure of rectangular pattern elements of 5–500 μm side with protons up to 6 MeV and heavy ions (20Ne, 85Kr) up to few 100 MeV. Here we present a short overview of the system under construction and development of the aperture design, which is a critical aspect for all ion beam lithography syste…
Characterization of ALD grown Ti x Al y N and Ti x Al y C thin films
Abstract Atomic layer deposition (ALD) was used to grow Ti x Al y N and Ti x Al y C thin films using trimethylaluminum (TMA), titanium tetrachloride and ammonia as precursors. Deposition temperature was varied between 325 °C and 500 °C. Films were also annealed in vacuum and N 2 -atmosphere at 600–1000 °C. Wide range of characterization methods was used including time-of-flight elastic recoil detection analysis (ToF-ERDA), X-ray diffractometry (XRD), X-ray reflectometry (XRR), Raman spectroscopy, ellipsometry, helium ion microscopy (HIM), atomic force microscopy (AFM) and 4-point probe measurement for resistivity. Deposited films were roughly 100 nm thick and contained mainly desired elemen…
Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
In this paper, we report ALD deposition of silicon dioxide using either thermal or plasma enhanced atomic layer deposition (PEALD). Several aminosilanes with differing structures and reactivity were used as silicon precursors in R&D single wafer ALD tools. One of the precursors was also tested on pilot scale batch ALD using O3 as oxidant and with substrates measuring 150 × 400 mm. The SiO2 film deposition rate was greatly dependent on the precursors used, highest values being 1.5-2.0 Å/cycle at 30-200°C for one precursor with an O2 plasma. According to time-of-flight-elastic recoil detection analysis measurements carbon and nitrogen impurities were relatively low, but hydrogen content i…
Antiferromagnetism and p‐type conductivity of nonstoichiometric nickel oxide thin films
Plasma‐enhanced atomic layer deposition was used to grow non‐stoichiometric nickel oxide thin films with low impurity content, high crystalline quality, and p‐type conductivity. Despite the non‐stoichiometry, the films retained the antiferromagnetic property of NiO.
Stability, sub-gap current, 1/f-noise, and elemental depth profiling of annealed Al:Mn-AlOX-Al normal metal-insulator-superconducting tunnel junctions
In this paper we report a study of the effect of vacuum annealing at 400◦C on the properties of normal metal-insulator-superconductor (NIS) tunnel junctions, with manganese doped aluminium (Al:Mn) as the normal metal, aluminum as the superconductor and amorphous aluminum oxide as the tunneling barrier (Al:Mn-AlOx-Al). The annealing treatment improves the stability of the junctions, increases their tunneling resistance and does not have a negative impact on the low-temperature current-voltage characteristics. The measured 1 / f resistance noise of the junctions also changes after annealing, in the best case decreasing by over an order of magnitude. All these observations show that annealing …
Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures
Abstract Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an o…
Energy loss and straggling of MeV Si ions in gases
We present measurements of energy loss and straggling of Si ions in gases. An energy range from 0.5 to 12 MeV/u was covered using the 6 MV EN tandem accelerator at ETH Zurich, Switzerland, and the K130 cyclotron accelerator facility at the University of Jyväskylä, Finland. Our energy-loss data compare well with calculation based on the SRIM and PASS code. The new straggling measurements support a pronounced peak in He gas at around 4 MeV/u predicted by recent theoretical calculations. The straggling curve structure in the other gases (N2, Ne, Ar, Kr) is relatively flat in the covered energy range. Although there is a general agreement between the straggling data and the theoretical calculat…
Atomic layer deposition of Ru films from bis(2,5-dimethylpyrrolyl)ruthenium and oxygen
Abstract Ru thin films were grown on hydrogen terminated Si, SiO 2 , Al 2 O 3 , HfO 2 , and TiO 2 surfaces by atomic layer deposition from bis(2,5-dimethylpyrrolyl)ruthenium precursor and oxygen. The 4–20 nm thick films on these surfaces consisted of nanocrystalline hexagonal metallic ruthenium, regardless of the deposition temperature. At the lowest temperatures examined, 250–255 °C, the growth of the Ru films was favored on silicon, compared to the growth on Al 2 O 3 , TiO 2 and HfO 2 . At higher temperatures the nucleation and growth of Ru became enhanced in particular on HfO 2 , compared to the process on silicon. At 320–325 °C, no growth occurred on Si–H and SiO 2 -covered silicon. Res…
Thin film growth into the ion track structures in polyimide by atomic layer deposition
Abstract High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was det…
Atomic layer deposition of localised boron- and hydrogen-doped aluminium oxide using trimethyl borate as a dopant precursor
Atomic layer deposition (ALD) of boron-containing films has been mainly studied for use in 2D materials and for B-doping of Si. Furthermore, lithium-containing borates show great promise as solid electrolyte coatings for enhanced energy storage. In this work, we examine trimethyl borate (TMB) and triethyl borate (TEB) in combination with O2 plasma as precursors for ALD of B-containing films, targeting the growth of B2O3. It is found that films grown from TEB contain no boron. Further work with TMB as a boron-containing precursor showed promising initial growth on a SiO2 or Al2O3 surface, but a rapid decrease of the growth rate during subsequent ALD cycles indicating surface inhibition durin…
Effect of ozone concentration on silicon surface passivation by atomic layer deposited Al2O3
Abstract We study the impact of ozone-based Al2O3 Atomic Layer Deposition (ALD) on the surface passivation quality of crystalline silicon. We show that the passivation quality strongly depends on the ozone concentration: the higher ozone concentration results in lower interface defect density and thereby improved passivation. In contrast to previous studies, our results reveal that too high interface hydrogen content can be detrimental to the passivation. The interface hydrogen concentration can be optimized by the ozone-based process; however, the use of pure ozone increases the harmful carbon concentration in the film. Here we demonstrate that low carbon and optimal hydrogen concentration…
Stabilizing organic photocathodes by low-temperature atomic layer deposition of TiO2
Organic semiconductor light absorbers are receiving attention for their potential application in photoelectrochemical (PEC) cells for renewable fuels generation. Key to their advancement is precise control of the interfaces between charge-selective contacts, absorber layers, and electrocatalysts, while maintaining compatibility with an aqueous electrolyte environment. Here we demonstrate a new process for low-temperature atomic layer deposition (ALD) of TiO2 onto a P3HT:PCBM polymer blend surface for stable high-performance organic PEC photocathodes. This ALD TiO2 layer provides three key functions: (1) formation of an electron-selective contact to the polymer to enable photovoltage and pho…
Time-of-flight - Energy spectrometer for elemental depth profiling - Jyväskylä design
Abstract A new time-of-flight elastic recoil detection spectrometer has been built, and initially the main effort was focused in getting good timing resolution and high detection efficiency for light elements. With the ready system, a 154 ps timing resolution was recorded for scattered 4.8 MeV 4 He 2+ ions. The hydrogen detection efficiency was from 80% to 20% for energies from 100 keV to 1 MeV, respectively, and this was achieved by having an additional atomic layer deposited Al 2 O 3 coating on the first timing detector’s carbon foil. The data acquisition system utilizes an FPGA-card to time-stamp every time-of-flight and energy event with 25 ns resolution. The different origins of the ba…
Phosphites as precursors in atomic layer deposition thin film synthesis
We here demonstrate a new route for deposition of phosphorous based materials by atomic layer deposition (ALD) using the phosphites Me3PO3 or Et3PO3 as precursors. These contain phosphorous in the oxidation state (III) and are open for deposition of reduced phases by ALD. We have investigated their applicability for the synthesis of LiPO and AlPO materials and characterized their growth by means of in situ quartz crystal microbalance. Phosphites are good alternatives to the established phosphate-based synthesis routes as they have high vapor pressure and are compatible with water as a coreactant during deposition. The deposited materials have been characterized using XPS, x-ray fluorescence…
Broadband Ultrahigh-Resolution Spectroscopy of Particle-Induced X Rays: Extending the Limits of Nondestructive Analysis
Nondestructive analysis (NDA) based on x-ray emission is widely used, for example, in the semiconductor and concrete industries. Here, we demonstrate significant quantitative and qualitative improvements in broadband x-ray NDA by combining particle-induced emission with detection based on superconducting microcalorimeter arrays. We show that the technique offers great promise in the elemental analysis of thin-film and bulk samples, especially in the difficult cases where tens of different elements with nearly overlapping emission lines have to be identified down to trace concentrations. We demonstrate the efficiency and resolving capabilities by spectroscopy of several complex multielement …
New experimental molecular stopping cross section data of Al2O3, for heavy ions
Abstract Molecular stopping cross section data of Al2O3, for heavy ions of 12C, 16O, 28Si, 35Cl, 79Br within the energy range of 0.01–1.0 MeV/nucleon were measured. Both direct transmission and bulk analysis methods were applied. Stopping cross sections were calculated both with the SRIM and MSTAR codes. Evaluation and intercomparison of the new data with the calculated and previously measured ones are reported in this paper.
Mechanical and optical properties of as-grown and thermally annealed titanium dioxide from titanium tetrachloride and water by atomic layer deposition
Funding Information: This work was carried out within the MECHALD project funded by Business Finland (Tekes) and is linked to the Finnish Centers of Excellence in Atomic Layer Deposition (ref. 251220) and Nuclear and Accelerator Based Physics (refs. 213503 and 251353) of the Academy of Finland. Funding Information: This work was carried out within the MECHALD project funded by Business Finland (Tekes) and is linked to the Finnish Centers of Excellence in Atomic Layer Deposition (ref. 251220 ) and Nuclear and Accelerator Based Physics (refs. 213503 and 251353 ) of the Academy of Finland. Publisher Copyright: © 2021 The use of thin-films made by atomic layer deposition (ALD) is increasing in …
Nanotribological, nanomechanical and interfacial characterization of atomic layer deposited TiO2 on a silicon substrate
Abstract For every coating it is critical that the coatings are sufficiently durable to withstand practical applications and that the films adhere well enough to the substrate. In this paper the nanotribological, nanomechanical and interfacial properties of 15–100 nm thick atomic layer deposited (ALD) TiO 2 coatings deposited at 110–300 °C were studied using a novel combination of nanoscratch and scanning nanowear testing. Thin film wear increased linearly with increasing scanning nanowear load. The film deposited at 300 °C was up to 58±11 %-points more wear-resistant compared to the films deposited at lower temperatures due to higher hardness and crystallinity of the film. Amorphous/nanocr…
Atomic Layer Deposition and Characterization of Erbium Oxide-Doped Zirconium Oxide Thin Films
ZrO 2 films doped with Er 2 O 3 were grown by atomic layer deposition from tris(2,2,6,6-tetramethyl-3,5-heptanedionato)erbium, bis(methylcyclopentadienyl)methoxymethylzirconium, and ozone as precursors at 350°C. The erbium content was 1―5 cation %. The films were uniform in thickness. The ZrO 2 :Er 2 O 3 films were crystallized already in the as-deposited state. Upon annealing at 650°C, they were stabilized in the form of cubic or tetragonal polymorph of ZrO 2 . Enhancement in capacitance required intense crystallization that was observed when the film thickness exceeded 4.4 nm. The permittivity of the ZrO 2 :Er 2 O 3 films could reach 31. The capacitors based on the doped ZrO 2 possessed l…
Al2O3 ALD films grown using TMA + rare isotope 2H216O and 1H218O precursors
In this work hydrogen and oxygen migration and exchange reactions in the atomic layer deposited (ALD) Al2O3 thin films were studied together with hydrogen incorporation by varying deposition parameters. Al2O3 films deposited at low temperatures can contain more than 20 at. % of hydrogen. Both higher temperature and longer purge length decrease the hydrogen and carbon concentrations significantly. In order to track the hydrogen and oxygen movement in the films, heavy water (2H216O) and oxygen-18 enriched water (1H218O) were used as precursors in combination with trimethylaluminium (TMA). Different isotopes of the same element were quantified by means of time-of-flight elastic recoil detectio…
Atomic Layer Deposition of Localized Boron- and Hydrogen-Doped Aluminum Oxide Using Trimethyl Borate as a Dopant Precursor
Atomic layer deposition (ALD) of boron-containing films has been mainly studied for use in two-dimensional materials and for B doping of Si. Furthermore, lithium-containing borates show great promi...
What Determines the Electrochemical Properties of Nitrogenated Amorphous Carbon Thin Films?
Funding Information: We acknowledge the provision of facilities by RawMatters Finland Infrastructure (RAMI, no. 292884), Aalto University Bioeconomy, and OtaNano - Nanomicroscopy Center (Aalto-NMC). Use of the Stanford Synchrotron Radiation Lightsource, SLAC National Accelerator Laboratory, is supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences under contract no. DE-AC02-76SF00515. We acknowledge CSC – IT Center for Science, Finland, for computational resources. S.S. acknowledges funding from the Walter Ahlström Foundation. This project has received funding from the European Union’s Horizon 2020 research and innovation programme under the Marie Skł…
Atomic layer deposition of ferroelectric LiNbO3
The ferroelectric and electro-optical properties of LiNbO3 make it an important material for current and future applications. It has also been suggested as a possible lead-free replacement for present PZT-devices. The atomic layer deposition (ALD) technique offers controlled deposition of films at an industrial scale and thus becomes an interesting tool for growth of LiNbO3. We here report on ALD deposition of LiNbO3 using lithium silylamide and niobium ethoxide as precursors, thereby providing good control of cation stoichiometry and films with low impurity levels of silicon. The deposited films are shown to be ferroelectric and their crystalline orientations can be guided by the choice of…
Determination of molecular stopping cross section of 12C, 16O, 28Si, 35Cl, 58Ni, 79Br, and 127I in silicon nitride
Abstract Silicon nitride is a technologically important material in a range of applications due to a combination of important properties. Ion beam analysis techniques, and in particular, heavy ion elastic recoil detection analysis can be used to determine the stoichiometry of silicon nitride films, which often deviates from the ideal Si3N4, as well as the content of impurities such as hydrogen, even in the presence of other materials or in a matrix containing heavier elements. Accurate quantification of IBA results depends on the basic data used in the data analysis. Quantitative depth profiling relies on the knowledge of the stopping power cross sections of the materials studied for the io…
High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition
Transition-Edge Sensors for Particle Induced X-ray Emission Measurements
In this paper we present a new measurement setup, where a transitionedge sensor detector array is used to detect X-rays in particle induced X-ray emission measurements with a 2 MeV proton beam. Transition-edge sensors offer orders of magnitude improvement in energy resolution compared to conventional silicon or germanium detectors, making it possible to recognize spectral lines in materials analysis that have previously been impossible to resolve, and to get chemical information from the elements. Our sensors are cooled to the operation temperature (65 mK) with a cryogen-free adiabatic demagnetization refrigerator, which houses a specially designed X-ray snout that has a vacuum tight window…
High-quality superconducting titanium nitride thin film growth using infra-red pulsed laser deposition
Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ~17 μΩ cm and residual resist…
Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
The atomic layer deposition (ALD) of AlN from AlCl3 was investigated using a thermal process with NH3 and a plasma-enhanced (PE)ALD process with Ar/NH3 plasma. The growth was limited in the thermal process by the low reactivity of NH3, and impractically long pulses were required to reach saturation. Despite the plasma activation, the growth per cycle in the PEALD process was lower than that in the thermal process (0.4 Å vs 0.7 Å). However, the plasma process resulted in a lower concentration of impurities in the films compared to the thermal process. Both the thermal and plasma processes yielded crystalline films; however, the degree of crystallinity was higher in the plasma process. The fi…
Atomic layer deposition of Ti-Nb-O thin films onto electrospun fibers for fibrous and tubular catalyst support structures
Here, the authors report on the preparation of core-shell carbon-ceramic fibrous as well as ceramic tubular catalyst supports utilizing electrospinning and atomic layer deposition (ALD). In this paper, ALD of Ti-Nb-O thin films using TiCl4, Nb(OEt)5, and H2O as precursors is demonstrated. According to the time-of-flight-elastic recoil detection analysis and Rutherford backscattering spectrometry, carbon and hydrogen impurities were relatively low, but depend on the pulsing ratio of the precursors. Optimized ALD process was used for coating of sacrificial electrospun polyvinyl alcohol (PVA) template fibers to yield tubular Ti-Nb-O structures after thermal or solution based PVA removal. Anoth…
Time-of-flight ERD with a 200mm2 Si3N4 window gas ionization chamber energy detector
Abstract Low energy heavy ion elastic recoil detection work has been carried out in Jyvaskyla since 2009 using home made timing detectors, a silicon energy detector and a timestamping data acquisition setup forming a time-of-flight–energy telescope. In order to improve the mass resolution of the setup a new energy detector was designed to replace the silicon solid state detector, which suffered from radiation damage and had poor resolution for heavy recoils. In this paper the construction and operation of an isobutane filled gas ionization chamber with a 14 × 14 mm 2 100 nm thick silicon nitride window are described. In addition to greatly improved energy resolution for heavy ions, the dete…
Atomic Layer Deposition of Intermetallic Fe4Zn9 Thin Films from Diethyl Zinc
| openaire: EC/H2020/765378/EU//HYCOAT We present a new type of atomic layer deposition (ALD) process for intermetallic thin films, where diethyl zinc (DEZ) serves as a coreactant. In our proof-of-concept study, FeCl3 is used as the second precursor. The FeCl3 + DEZ process yields in situ crystalline Fe4Zn9 thin films, where the elemental purity and Fe/Zn ratio are confirmed by time-of-flight elastic recoil detection analysis (TOF-ERDA), Rutherford backscattering spectrometry (RBS), atomic absorption spectroscopy (AAS), and energy-dispersive X-ray spectroscopy (EDX) analyses. The film thickness is precisely controlled by the number of precursor supply cycles, as expected for an ALD process.…
Potku – New analysis software for heavy ion elastic recoil detection analysis
Time-of-flight elastic recoil detection (ToF-ERD) analysis software has been developed. The software combines a Python-language graphical front-end with a C code computing back-end in a user-friendly way. The software uses a list of coincident time-of- flight–energy (ToF–E) events as an input. The ToF calibration can be determined with a simple graphical procedure. The graphical interface allows the user to select different elements and isotopes from a ToF–E histogram and to convert the selections to individual elemental energy and depth profiles. The resulting sample composition can be presented as relative or absolute concentrations by integrating the depth profiles over user-defined rang…
Conceptual study of a heavy-ion-ERDA spectrometer for energies below 6 MeV
Abstract Elastic recoil detection analysis (ERDA) is a well established technique and it offers unique capabilities in thin film analysis. Simultaneous detection and depth profiling of all elements, including hydrogen, is possible only with time-of-flight ERDA. Bragg ionization chambers or Δ E - E detectors can also be used to identify the recoiling element if sufficiently high energies are used. The chief limitations of time-of-flight ERDA are the beam induced sample damage and the requirement of a relatively large accelerator. In this paper we propose a detector setup, which could be used with 3 MeV to 6 MeV medium heavy beams from either a single ended accelerator (40Ar) or from a tandem…
Nanoscale Etching of GaAs and InP in Acidic H<sub>2</sub>O<sub>2</sub> Solution: A Striking Contrast in Kinetics and Surface Chemistry
In this study of nanoscale etching for state-of-the-art device technology the importance of the nature of the surface oxide, is demonstrated for two III-V materials. Etching kinetics for GaAs and InP in acidic solutions of hydrogen peroxide are strikingly different. GaAs etches much faster, while the dependence of the etch rate on the H+ concentration differs markedly for the two semiconductors. Surface analysis techniques provided information on the surface composition after etching: strongly non-stoichiometric porous (hydr)oxides on GaAs and a thin stoichiometric oxide that forms a blocking layer on InP. Reaction schemes are provided that allow one to understand the results, in particular…
Atomic Layer Deposition of LiF Thin Films from Lithd, Mg(thd)2, and TiF4 Precursors
Lithium fluoride is an interesting material because of its low refractive index and large band gap. Previously LiF thin films have been deposited mostly by physical methods. In this study a new way of depositing thin films of LiF using atomic layer deposition (ALD) is presented. Mg(thd)2, TiF4 and Lithd were used as precursors, and they produced crystalline LiF at a temperature range of 300–350 °C. The films were studied by UV–vis spectrometry, field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), atomic force microscopy (AFM), time-of-flight elastic recoil detection analysis (ToF-ERDA), and energy dispersive X-ray spectroscopy (EDX). In addition, film adhesion was t…
Low-Temperature Atomic Layer Deposition of Crystalline and Photoactive Ultrathin Hematite Films for Solar Water Splitting
We developed a low-temperature atomic layer deposition route to deposit phase pure and crystalline hematite (alpha-Fe2O3) films at 230 degrees C without the need for postannealing. Homogenous and conformal deposition with good aspect ratio coverage was demonstrated on a nanostructured substrate and analyzed by transmission electron microscopy. These as-deposited alpha-Fe2O3 films were investigated as photoanodes for photoelectrochemical water oxidation and found to be highly photoactive. Combined with a TiO2 underlayer and a low-cost Ni(OH)(2) catalyst, hematite films of less than 10 nm in thickness reached photocurrent densities of 0.3 mA cm(-2) at 1.23 V vs RHE and a photocurrent onset po…
Structural and optical characterization of ZnS ultrathin films prepared by low-temperature ALD from diethylzinc and 1.5-pentanedithiol after various annealing treatments
The structural and optical evolution of the ZnS thin films prepared by atomic layer deposition (ALD) from the diethylzinc (DEZ) and 1,5-pentanedithiol (PDT) as zinc and sulfur precursors was studied. A deposited ZnS layer (of about 60 nm) is amorphous, with a significant S excess. After annealing, the stoichiometry improved for annealing temperatures &ge
A new beamline for energy-dispersive high-resolution PIXE analysis using polycapillary optics
Abstract A new beamline for high energy resolution PIXE measurements is presented. This new setup includes options for both in-air and vacuum measurements. For the high energy resolution transition-edge sensor array, a polycapillary lens is used for detecting low-energy X-rays down to 0.5 keV and to increase the effective solid angle. X-ray transmission of the polycapillary lens was characterized using two calibration standards. The gain obtained by adding a polycapillary lens was 1.6–2.3 at energies between 2.1 keV and 4.5 keV. From 1.04 to 1.74 keV the gain is increased to 2.1–3.0, and at energies 4.9–8.0 keV the gain is between 1.6 and 0.65. The measured gain agreed well with theoretical…
Low-Temperature Atomic Layer Deposition of High-k SbOx for Thin Film Transistors
SbOx thin films are deposited by atomic layer deposition (ALD) using SbCl5 and Sb(NMe2)3 as antimony reactants and H2O and H2O2 as oxidizers at low temperatures. SbCl5 can react with both oxidizers, while no deposition is found to occur using Sb(NMe2)3 and H2O. For the first time, the reaction mechanism and dielectric properties of ALD-SbOx thin films are systematically studied, which exhibit a high breakdown field of ≈4 MV cm−1 and high areal capacitance ranging from 150 to 200 nF cm−2, corresponding to a dielectric constant ranging from 10 to 13. The ZnO semiconductor layer is integrated into a SbOx dielectric layer, and thin film transistors (TFTs) are successfully fabricated. A TFT with…
Mobility determination of lead isotopes in glass for retrospective radon measurements
In retrospective radon measurements, the 22-y half life of (210)Pb is used as an advantage. (210)Pb is often considered to be relatively immobile in glass after alpha recoil implanted by (222)Rn progenies. The diffusion of (210)Pb could, however, lead to uncertain wrong retrospective radon exposure estimations if (210)Pb is mobile and can escape from glass, or lost as a result of cleaning-induced surface modification. This diffusion was studied by a radiotracer technique, where (209)Pb was used as a tracer in a glass matrix for which the elemental composition is known. Using the ion guide isotope separator on-line technique, the (209)Pb atoms were implanted into the glass with an energy of …
Development of the Jyväskylä microbeam facility
Abstract A new microbeam facility is being constructed at the 1.7 MV Pelletron Accelerator in Jyvaskyla. The facility is designed for easy upgrading and incorporates a number of innovative features. Initially, it is based on a Heidelberg doublet with a design capability of a 3 × 5 μm beamspot at PIXE intensities and later upgraded to nanobeam performance. A thermal-expansion compensated rigid frame mounted on a mechanically isolated floor section is used to support the ion optical components. A compact-post focusing electrostatic deflector is used for high linearity beam scanning. This together with a novel time-stamped data collection (TDC) allows dynamic effects in IBIC, fluorescence blea…
Tang dynasty (618-907) bowl measured with PIXE
Brownish bowl originating from an underwater shipwreck located near Belitung island in the Java Sea, some 600 km south-east from Singapore, has been measured with particle induced X-ray emission. This study was a pilot project for the – now a spin-off company – Recenart research team where one target was to evaluate the authenticity of the different type of art objects. PIXE measurements were done from three different material positions from a single bowl received from a customer. These locations were categorized as a bluish/greenish pigment (under glaze), thick glaze and the body clay. When the obtained data was compared to the other references from different dynasties and kiln sites, the …
Atomic layer deposition and characterization of biocompatible hydroxyapatite thin films
Abstract Atomic layer deposition (ALD) was used to produce hydroxyapatite from Ca(thd) 2 (thd = 2,2,6,6-tetramethyl-3,5-heptanedionato) and (CH 3 O) 3 PO onto Si(100) and Corning (0211). Film crystallinity, stoichiometry, possible impurities and surface morphology were determined. The as-deposited films contained significant amounts of carbonate impurities however, annealing at moist N 2 flow reduced the carbonate content even at 400 °C. The as-deposited Ca–P–O films were amorphous but rapid thermal annealing promoted the formation of the hydroxyapatite phase. Mouse MC 3T3-E1 cells were used for the cell culture experiments. According to the bioactivity studies cell proliferation was enhanc…
Aperture-edge scattering in MeV ion-beam lithography. I. Scattering from a straight Ta aperture edge
Collimators are widely used to define MeV ion beams. Recent studies have shown the capability of collimators to define beams of MeV ions with sub-100nm dimensions. Such nanometer beams have potential applications in MeV ion-beam lithography, which is the only maskless technique capable of producing extremely high aspect-ratio micro- and nanostructrures, as well as in high-resolution MeV ion-beam-based tomography. The ion scattering from the collimator edges that define the beam can be a resolution-restricting factor in these applications. Scattering processes at edges are difficult to study using conventional simulation codes because of the complicated geometry. In this part of the work, th…
Corrosion Protection of Steel with Oxide Nanolaminates Grown by Atomic Layer Deposition
Atomic layer deposited (ALD) aluminum and tantalum oxide (Al 2 O 3 and Ta 2 O 5 ) and their nanolaminates were applied as corrosion protection coatings on AISI 52100 steel. The aim was to combine the good sealing properties of Al 2 O 3 with the chemical stability of Ta 2 O 5 and to optimize the coating architecture in order to obtain the best possible long-term durability. Coating composition and morphology were studied with time-of-flight elastic recoil detection analysis (ToF-ERDA), time-of-flight secondary ion mass spectrometry (ToF-SIMS) and field emission scanning electron microscopy (FESEM) and energy dispersive x-ray spectrometry (EDS). Electrochemical properties were studied with vo…
Direct Writing of Channels for Microfluidics in Silica by MeV Ion Beam Lithography
The lithographic exposure characteristic of amorphous silica (SiO2) was investigated for 6.8 MeV16O3+ions. A programmable proximity aperture lithography (PPAL) technique was used for the ion beam exposure. After exposure, the exposed pattern was developed by selective etching in 4% v/v HF. Here, we report on the development of SiO2in term of the etch depth dependence on the ion fluence. This showed an exponential approach towards a constant asymptotic etch depth with increasing ion fluence. An example of microfluidic channels produced by this technique is demonstrated.
Depth profiling of Al2O3+ TiO2 nanolaminates by means of a time-of-flight energy spectromete
Atomic layer deposition (ALD) is currently a widespread method to grow conformal thin films with a sub-nm thickness control. By using ALD for nanolaminate oxides, it is possible to fine tune the electrical, optical and mechanical properties of thin films. In this study the elemental depth profiles and surface roughnesses were determined for Al2O3 + TiO2 nanolaminates with nominal single-layer thicknesses of 1, 2, 5, 10 and 20 nm and total thickness between 40 nm and 60 nm. The depth profiles were measured by means of a time-of-flight elastic recoil detection analysis (ToF-ERDA) spectrometer recently installed at the University of Jyväskylä. In TOF-E measurements 63Cu, 35Cl, 12C and 4He ions…
Thin Film Characterisation Using MeV Ion Beams
This chapter focuses on the characterisation of very thin films having thicknesses from a few nanometres to tens of nanometres. The driving force for the ion beam analysis community has mostly been the rapid development of microelectronics — all the elements in new thin SiO2 replacing dielectrics, diffusion barriers, and silicide contacts need to be analysed with a depth resolution even better than a nanometre. This together with new film deposition techniques like atomic layer deposition (ALD) [1] have given a push to the ion beam analysis community to develop new and better techniques using energetic (>0.5 MeV) ion beams.
Atomic layer deposition of lithium containing thin films
Five different lithium containing compounds, all representing different chemical systems, were studied in order to deposit lithium containing films by atomic layer deposition ALD. The studied compounds were a lithium β-diketonate Li(thd) (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate), a lithium alkoxide LiOtBu (OtBu = tert-butoxide), a lithium cyclopentadienyl LiCp (Cp = cyclopentadienyl), a lithium alkyl n-butyllithium, and a lithium amide lithium dicyclohexylamide. Films containing lithium carbonate (Li2CO3) were obtained from alternate pulsing of Li(thd) and ozone in a temperature range of 185–300 °C. The film composition was analyzed by time-of-flight elastic recoil detection analysis (…
Fabrication of microfluidic devices using MeV ion beam Programmable Proximity Aperture Lithography (PPAL)
Abstract MeV ion beam lithography is a direct writing technique capable of producing microfluidic patterns and lab-on-chip devices with straight walls in thick resist films. In this technique a small beam spot of MeV ions is scanned over the resist surface to generate a latent image of the pattern. The microstructures in resist polymer can be then revealed using a chemical developer that removes exposed resist, while leaving unexposed resist unaffected. In our system the size of the rectangular beam spot is programmably defined by two L-shaped tantalum blades with well-polished edges. This allows rapid exposure of entire rectangular pattern elements up to 500 × 500 μm in one step. By combin…
Development of an MeV ion beam lithography system in Jyväskylä
Abstract A lithographic facility for writing patterns with ion beams from cyclotron beams is under development for the Jyvaskyla cyclotron. Instead of focusing and deflecting the beam with electrostatic and magnetic fields a different approach is used. Here a small rectangular beam spot is defined by the shadow of a computer-controlled variable aperture in close proximity to the sample. This allows parallel exposure of rectangular pattern elements of 5–500 μm side with protons up to 6 MeV and heavy ions (20Ne, 85Kr) up to few 100 MeV. Here we present a short overview of the system under construction and development of the aperture design, which is a critical aspect for all ion beam lithogra…
The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
Two distinguishable plasma modes in the O2–N2 radio frequency capacitively coupled plasma (CCP) used in remote plasma-enhanced atomic layer deposition (PEALD) were observed. Optical emission spectroscopy and spectra interpretation with rate coefficient analysis of the relevant processes were used to connect the detected modes to the α and γ modes of the CCP discharge. To investigate the effect of the plasma modes on the PEALD film growth, ZnO and TiO2 films were deposited using both modes and compared to the films deposited using direct plasma. The growth rate, thickness uniformity, elemental composition, and crystallinity of the films were found to correlate with the deposition mode. In re…
In-situ annealing characterization of atomic-layer-deposited Al2O3 in N2, H2 and vacuum atmospheres
Tarkista embargo, kun artikkeli julkaistu. Atomic-layer-deposited Al 2 O 3 films can be used for passivation, protective, and functional purposes in electronic devices. However, as-deposited, amorphous alumina is susceptible to chemical attack and corrosion during manufacturing and field-use. On the contrary, crystalline Al 2 O 3 is resistant against aggressive chemical treatments and corrosion. Here, high-temperature treatments in N 2 , H 2 , and vacuum were used to crystallize alumina which exhibited different crystalline phases. The annealing process was monitored continuously in situ by measuring the film temperature and surface reflectance to understand the crystallization kinetics. Ex…
Development of micro-contact printing of osteosarcoma cells using MeV ion beam lithography
Abstract For investigation of spatial effects in signalling between cells and also signal substances that trigger cell proliferation and behaviour we are developing a micro Contact Printing process ( μ CP ) . In order to allow printing of cells stamps with high aspect ratio are required and these have been fabricated using Programmed Proximity Aperture Lithography (PPAL) with 3 MeV 4 He 2 + ions to produce PMMA masters for casting the stamps in PDMS. A simple printing device was developed and the first results using this to print human osteosarcoma cells is demonstrated.
Considerations about multiple and plural scattering in heavy-ion low-energy ERDA
Abstract Low-energy heavy-ion Elastic Recoil Detection Analysis (ERDA) is becoming a mature technique for high-resolution characterization of thin films, i.e. below 50 nm thickness. In combination with a small tandem accelerator (∼2 MV terminal voltage) and beam energies below 20 MeV, it is suitable for routine analysis of key materials in semiconductor technology. At low-energies, however, small angle multiple scattering and large angle plural scattering of ions play a significant role, starting from the first nanometers. Multiple and plural scattering dominate the depth resolution deterioration with increasing depth and, when glancing angles are used, introduce long tails in the elemental…
Resolution performance of programmable proximity aperture MeV ion beam lithography system
AbstractAn ion beam lithography system for light and heavy ions has been developed at the University of Jyväskylä's Accelerator Laboratory. The system employs a programmable proximity aperture to define the beam. The proximity aperture is made up of four Ta blades with precise straight edges that cut the beam in the horizontal and vertical directions. The blade positions and dimensions are controlled by a pair of high-precision linear-motion positioners. The sample is mounted on a X-Y-Z stage capable of moving with 100 nm precision steps under computer control. The resolution performance of the system is primarily governed by the proximity aperture. Pattern edge sharpness is set by the beam…
Low-energy heavy-ion TOF-ERDA setup for quantitative depth profiling of thin films
Abstract Low-energy heavy-ion time-of-flight elastic recoil detection analysis (TOF-ERDA) is becoming a mature technique for accurate characterization of thin films. In combination with a small tandem accelerator (∼2 MV terminal voltage) and beam energies below 20 MeV, it is suitable for routine analysis of key materials in semiconductor technology. In this paper we discuss advantages and drawbacks of low-energy ERDA, compared to high-energy ERDA, in terms of depth and mass resolution, detection efficiency for light elements, sample irradiation damage and quantification accuracy. The results presented are obtained with the time-of-flight telescope recently developed at IMEC. The time-of-fli…
Porous inorganic–organic hybrid material by oxygen plasma treatment
In this paper, we present the pore formation on inorganic–organic hybrid material, ORMOCER©, by reactive ion etching. ORMOCERs are composed of inorganic backbone where organic side groups are attached by cross-linking. Etching of ORMOCER in oxygen plasma generates porous materials with different pore sizes depending on the etching parameters. In addition to planar films, this pore formation process is applicable to micro and nanostructures. Characteristics of porous materials are evaluated by contact angle measurement, scanning electron microscopy, Fourier transform infrared-attenuated total reflectance spectroscopy, time-of-flight elastic recoil detection analysis and Rutherford backscatte…
Atomic layer deposition of WO3 thin films using W(CO)6 and O3 precursors
Here we report a new atomic layer deposition (ALD) process for WO3 thin films based on W(CO)6 as a tungsten source and ozone as a source of oxygen. A narrow ALD temperature window is found at 195–205 °C for WO3 with a deposition rate of 0.23 A per cycle. As-deposited films are partially crystalline with root mean square (rms) roughness values of 4.7 nm for 90 nm thick films; annealing the films at 600–1000 °C under oxygen or nitrogen atmospheres enhances the degree of crystallinity considerably. Our results show that the straightforward ALD chemistry of carbonyl compounds and ozone is applicable to the deposition of WO3 thin films.
Analysis of thin high-k and silicide films by means of heavy ion time-of-flight forward-scattering spectrometry
The use of forward scattered heavy incident ions in combination with a time-of-flight-energy telescope provides a powerful tool for the analysis of very thin (5–30 nm) films. This is because of greater stopping powers and better detector energy resolution for heavier ions than in conventional He-RBS. Because of the forward scattering angle, the sensitivity is greatly enhanced, thus reducing the ion beam induced desorption during the analysis of very thin films. The drawback of forward scattering angle is the limited mass separation for target elements. We demonstrate the performance of the technique with the analysis of 25 nm thick NiSi films and atomic layer deposited 6 nm thick HfxSiyOz f…
Atomic Layer Deposition and Properties of Lanthanum Oxide and Lanthanum-Aluminum Oxide Films
Atomic layer deposition (ALD) of lanthanum oxide on glass and silicon substrates was examined using lanthanum silylamide, La[N(SiMe 3 ) 2 ] 3 , and water as precursors in the substrate temperature range of 150-250 °C. The effect of pulse times and precursor evaporation temperature on the growth rate and refractive index was investigated. The films remained amorphous regardless of the deposition conditions. The resulting La 2 O 3 films contained noticeable amounts of hydrogen and silicon and were chemically unstable while stored in ambient air. Lanthanum aluminum oxide films were achieved with stoichiometry close to that of LaAlO 3 at 225°C from La[N(SiMe 3 ) 2 ] 3 , Al(CH 3 ) 3 , and H 2 O.…
Properties of AlN grown by plasma enhanced atomic layer deposition
Abstract The influence of growth parameters on the properties of AlN films fabricated by plasma-enhanced atomic layer deposition using trimethylaluminum and ammonia precursors was investigated. The atomic concentrations, refractive index, mass density, crystallinity and surface roughness were studied from the films grown in the temperature range of 100–300 °C with plasma discharge times between 2.5 and 30 s. The AlN films were shown to be hydrogen rich having H concentrations in the range of 13–27 at.% with inverse dependence on the growth temperature. The carbon and oxygen concentrations in the films were less than 2.6% and 0.2%, respectively. The refractive index and mass density of the f…
Heavy ion induced Ti X-ray satellite structure for Ti, TiN, and TiO2 thin films
Aluminum oxide/titanium dioxide nanolaminates grown by atomic layer deposition: Growth and mechanical properties
Atomic layer deposition (ALD) is based on self-limiting surface reactions. This and cyclic process enable the growth of conformal thin films with precise thickness control and sharp interfaces. A multilayered thin film, which is nanolaminate, can be grown using ALD with tuneable electrical and optical properties to be exploited, for example, in the microelectromechanical systems. In this work, the tunability of the residual stress, adhesion, and mechanical properties of the ALD nanolaminates composed of aluminum oxide (Al2O3) and titanium dioxide (TiO2) films on silicon were explored as a function of growth temperature (110-300 C), film thickness (20-300 nm), bilayer thickness (0.1-100 nm),…
Characterization of ALD grown TixAlyN and TixAlyC thin films
Atomic layer deposition (ALD) was used to grow TixAlyN and TixAlyC thin films using trimethylaluminum (TMA), titanium tetrachloride and ammonia as precursors. Deposition temperature was varied between 325 °C and 500 °C. Films were also annealed in vacuum and N2-atmosphere at 600–1000 °C. Wide range of characterization methods was used including time-of-flight elastic recoil detection analysis (ToF-ERDA), X-ray diffractometry (XRD), X-ray reflectometry (XRR), Raman spectroscopy, ellipsometry, helium ion microscopy (HIM), atomic force microscopy (AFM) and 4-point probe measurement for resistivity. Deposited films were roughly 100 nm thick and contained mainly desired elements. Carbon, chlorin…
Low-temperature Molecular Layer Deposition Using Monofunctional Aromatic Precursors and Ozone-based Ring Opening Reactions
Molecular layer deposition (MLD) is an increasingly used deposition technique for producing thin coatings consisting of purely organic or hybrid inorganic–organic materials. When organic materials are prepared, low deposition temperatures are often required to avoid decomposition, thus causing problems with low vapor pressure precursors. Monofunctional compounds have higher vapor pressures than traditional bi- or trifunctional MLD precursors, but do not offer the required functional groups for continuing the MLD growth in subsequent deposition cycles. In this study, we have used high vapor pressure monofunctional aromatic precursors in combination with ozone-triggered ring-opening reactions…
Thermomechanical properties of aluminum oxide thin films made by atomic layer deposition
Funding Information: This work was carried out within the MECHALD project funded by Business Finland and is linked to the Finnish Centers of Excellence in Atomic Layer Deposition (Ref. No. 251220) and Nuclear and Accelerator Based Physics (Ref Nos. 213503 and 251353) of the Academy of Finland. Publisher Copyright: © 2022 Author(s). In microelectromechanical system devices, thin films experience thermal processing at temperatures some cases exceeding the growth or deposition temperature of the film. In the case of the thin film grown by atomic layer deposition (ALD) at relatively low temperatures, post-ALD thermal processing or high device operation temperature might cause performance issues…
Atomic Layer Deposition of Osmium
Growth of osmium thin films and nanoparticles by atomic layer deposition is described. The Os thin films were successfully grown between 325 and 375 °C using osmocene and molecular oxygen as precursors. The films consisted of only Os metal as osmium oxides were not detected in X-ray diffraction measurements. Also the impurity contents of oxygen, carbon, and hydrogen were less than 1 at % each at all deposition temperatures. The long nucleation delay of the Os process facilitates either Os nanoparticle or thin film deposition. However, after the nucleation delay of about 350 cycles the film growth proceeded linearly with increasing number of deposition cycles. Also conformal growth of Os thi…
Atomic Layer Deposition of Spinel Lithium Manganese Oxide by Film-Body-Controlled Lithium Incorporation for Thin-Film Lithium-Ion Batteries
Lithium manganese oxide spinels are promising candidate materials for thin-film lithium-ion batteries owing to their high voltage, high specific capacity for storage of electrochemical energy, and minimal structural changes during battery operation. Atomic layer deposition (ALD) offers many benefits for preparing all-solid-state thin-film batteries, including excellent conformity and thickness control of the films. Yet, the number of available lithium-containing electrode materials obtained by ALD is limited. In this article, we demonstrate the ALD of lithium manganese oxide, LixMn2O4, from Mn(thd)3, Li(thd), and ozone. Films were polycrystalline in their as-deposited state and contained le…
Growth of osteoblasts on lithographically modified surfaces
Here we report about preliminary investigations on developing substrates for culturing osteoblasts, the cells responsible for production of mineralised bone, by lithographically modifying the surfaces of several materials. The proton beam writing system at the National University of Singapore was used to fabricate high aspect ratio structures in PMMA, while two-dimensional low aspect ratio structures were fabricated using conventional electron beam lithography (EBL) and UV lithography (UVL) in SU-8. It was found that oxygen plasma treatment of structured SU-8 surfaces changed the surface layer and significantly improved cell attachment and proliferation. Cells grown on patterned thick PMMA …
Normal-Metal–Insulator–Superconductor Tunnel Junction With Atomic-Layer-Deposited Titanium Nitride as Superconductor
Tribological properties of thin films made by atomic layer deposition sliding against silicon
Interfacial phenomena, such as adhesion, friction, and wear, can dominate the performance and reliability of microelectromechanical (MEMS) devices. Here, thin films made by atomic layer deposition (ALD) were tested for their tribological properties. Tribological tests were carried out with silicon counterpart sliding against ALD thin films in order to simulate the contacts occurring in the MEMS devices. The counterpart was sliding in a linear reciprocating motion against the ALD films with the total sliding distances of 5 and 20 m. Al2O3 and TiO2 coatings with different deposition temperatures were investigated in addition to Al2O3-TiO2-nanolaminate, TiN, NbN, TiAlCN, a-C:H [diamondlike car…
Ozone-Based Atomic Layer Deposition of Al2O3 from Dimethylaluminum Chloride and Its Impact on Silicon Surface Passivation
Dimethylaluminum chloride (DMACl) as an aluminum source has shown promising potential to replace more expensive and commonly used trimethylaluminum in the semiconductor industry for atomic layer deposited (ALD) thin films. Here, the Al2O3 DMACl-process is modified by replacing the common ALD oxidant, water, by ozone that offers several benefits including shorter purge time, layer-by-layer growth, and improved film adhesion. It is shown that the introduction of the ozone instead of water increases carbon and chlorine content in the Al2O3, while long ozone pulses increase the amount of interfacial hydrogen at silicon surface. These are found to be beneficial effects regarding the surface pass…
Programmable proximity aperture lithography with MeV ion beams
A novel MeV ion beam programmable proximity aperture lithography system has been constructed at the Accelerator Laboratory of the University of Jyvaskyla, Finland. This facility can be used to fabricate three dimensional microstructures in thick (<100μm) polymer resist such as polymethylmethacrylate. In this method, MeV ion beams from the 1.7 MV pelletron and K130 cyclotron accelerators are collimated to a beam spot of rectangular shape. This shape is defined by a computer-controlled aperture made of a pair of L-shaped Ta blades which are in close proximity to the sample to minimize the penumbra broadening. Here the authors report on development of the system, the controlling software, the …
Aperture-edge scattering in MeV ion-beam lithography. II. Scattering from a rectangular aperture
The capability of collimators to define beams of MeV ions with sub-100nm dimensions has recently been demonstrated. Such nanometer beams have potential applications in MeV ion-beam lithography, which is the only maskless technique capable of producing extremely high aspect-ratio micro- and nanostructrures, as well as in high resolution MeV ion-beam imaging. Ion scattering from the collimator edges can be a resolution-restricting factor in these applications. Scattering processes at edges are difficult to study using conventional simulation codes because of the complicated geometry. In this part of our work, the authors used the GEANT4 toolkit as a simulation tool for studying the behavior o…
Properties of atomic layer deposited nanolaminates of zirconium and cobalt oxides
Producción Científica
Oxy-nitrides characterization with a new ERD-TOF system
Abstract A new time-of-flight (TOF) camera was installed on Elastic Recoil Detection (ERD) measurement setup on the Tandem Accelerator at Universite de Montreal. The camera consists of two timing detectors, developed and built by the Jyvaskyla group, that use a thin carbon foil and microchannel plates (MCP) to produce the start and stop signals. The position of the first detector is fixed at 18 cm from the target, while the position of the second detector can be varied between 50 and 90 cm from the first detector. This allows to increase time resolution by increasing the distance between the time-of-flight detectors or to increase solid angle by decreasing the distance. Moving the detector …
Coating and functionalization of high density ion track structures by atomic layer deposition
In this study flexible TiO 2 coated porous Kapton membranes are presented having electron multiplication properties. 800 nm crossing pores were fabricated into 50 m thick Kapton membranes using ion track technology and chemical etching. Consecutively, 50 nm TiO 2 films were deposited i nto the pores of the Kapton membranes by atomic layer deposition using Ti( i OPr) 4 and water as precursors at 250 °C. The TiO 2 films and coated membranes were studied by scanning electro n microscopy (SEM), X - ray diffraction (XRD) and X - ray reflectometry (XRR). Au metal electrod e fabrication onto both sides of the coated foils was achieved by electron beam evaporation. The electron multipliers were o…
Digitizing data acquisition and time-of-flight pulse processing for ToF-ERDA
A versatile system to capture and analyze signals from multi channel plate (MCP) based time-of-flight detectors and ionization based energy detectors such as silicon diodes and gas ionization chambers (GIC) is introduced. The system is based on commercial digitizers and custom software. It forms a part of a ToF-ERDA spectrometer, which has to be able to detect recoil atoms of many different species and energies. Compared to the currently used analogue electronics the digitizing system provides comparable time-of-flight resolution and improved hydrogen detection efficiency, while allowing the operation of the spectrometer be studied and optimized after the measurement. The hardware, data acq…
Aluminum oxide from trimethylaluminum and water by atomic layer deposition:The temperature dependence of residual stress, elastic modulus, hardness and adhesion
Use of atomic layer deposition (ALD) in microelectromechanical systems (MEMS) has increased as ALD enables conformal growth on 3-dimensional structures at relatively low temperatures. For MEMS device design and fabrication, the understanding of stress and mechanical properties such as elastic modulus, hardness and adhesion of thin film is crucial. In this work a comprehensive characterization of the stress, elastic modulus, hardness and adhesion of ALD aluminum oxide (Al2O3) films grown at 110-300 C from trimethylaluminum and water is presented. Film stress was analyzed by wafer curvature measurements, elastic modulus by nanoindentation and surface-acoustic wave measurements, hardness by na…
Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
Blistering of protective, structural, and functional coatings is a reliability risk pestering films ranging from elemental to ceramic ones. The driving force behind blistering comes from either excess hydrogen at the film-substrate interface or stress-driven buckling. Contrary to the stress-driven mechanism, the hydrogen-initiated one is poorly understood. Recently, it was shown that in the bulk Al-Al2O3 system, the blistering is preceded by the formation of nano-sized cavities on the substrate. The stress-and hydrogen-driven mechanisms in atomic-layer-deposited (ALD) films are explored here. We clarify issues in the hydrogen-related mechanism via high-resolution microscopy and show that at…
Secondary electron flight times and tracks in the carbon foil time pick-up detector
Carbon foil time pick-up detectors used in the time-of-flight measurements of MeV energy ions have been studied in connection to time-of-flight-energy spectrometer used for heavy ion elastic recoil detection analysis. In experimental coincident TOF-E data characteristic halos are observed around light element isobars, and the origin of these halos were studied. The experimental data indicated that these halos originate from single electron events occurring before the electron multiplication in the microchannel plate. By means of electron trajectory simulations, this halo effect is explained to originate from single electron, emitted from the carbon foil, hitting the non-active area of the m…
The co-reactant role during plasma enhanced atomic layer deposition of palladium
Atomic layer deposition (ALD) of noble metals is an attractive technology potentially applied in nanoelectronics and catalysis. Unlike the combustion-like mechanism shown by other noble metal ALD processes, the main palladium (Pd) ALD process using palladium(ii)hexafluoroacetylacetonate [Pd(hfac)2] as precursor is based on true reducing surface chemistry. In this work, a thorough investigation of plasma-enhanced Pd ALD is carried out by employing this precursor with different plasmas (H2*, NH3*, O2*) and plasma sequences (H2* + O2*, O2* + H2*) as co-reactants at varying temperatures, providing insights in the co-reactant and temperature dependence of the Pd growth per cycle (GPC). At all te…
Size dependent swift heavy ion induced Au nanoparticle elongation in SiO2 matrix
The elongation of spherical Au nanoparticles embedded in SiO2 under swift heavy ion (SHI) irradiation is an extensively studied phenomenon. The use of a TEM grid as a substrate facilitates the identification of the same nanoparticle before and after the irradiation. Since the underdensification of SiO2 inside the ion track plays a key role, the elongation is sensitive to the matrix material properties. Therefore, we studied the elongation process of SHI irradiated Au spherical nanoparticles of various diameters (5–80 nm) embedded either in atomic layer deposition (ALD) or plasma-enhanced chemical vapor deposition (PECVD) SiO2. The results show that a different elongation ratio is achieved d…
Unusual stoichiometry control in the atomic layer deposition of manganese borate films from manganese bis(tris(pyrazolyl)borate) and ozone
The atomic layer deposition (ALD) of films with the approximate compositions Mn3(BO3)2 and CoB2O4 is described using MnTp2 or CoTp2 [Tp ¼ tris(pyrazolyl)borate] with ozone. The solid state decomposition temperatures of MnTp2 and CoTp2 are 370 and 340 C, respectively. Preparative-scale sublimations of MnTp2 and CoTp2 at 210 C/0.05 Torr afforded >99% recoveries with <0.1% nonvolatile residues. Self-limited ALD growth was demonstrated at 325 C for MnTp2 or CoTp2 with ozone as the coreactant. The growth rate for the manganese borate process was 0.19 A˚ /cycle within the ALD window of 300–350 C. The growth rate for the cobalt borate process was 0.39–0.42 A˚ /cycle at 325 C. X-ray diffraction of …
Simulations on time-of-flight ERDA spectrometer performance
The performance of a time-of-flight spectrometer consisting of two timing detectors and an ionization chamber energy detector has been studied using Monte Carlo simulations for the recoil creation and ion transport in the sample and detectors. The ionization chamber pulses have been calculated using Shockley-Ramo theorem and the pulse processing of a digitizing data acquisition setup has been modeled. Complete time-of-flight–energy histograms were simulated under realistic experimental conditions. The simulations were used to study instrumentation related effects in coincidence timing and position sensitivity, such as background in time-of-flight–energy histograms. Corresponding measurement…
Elastic Recoil Detection Analysis
In 1976, a Canadian group described in detail for the first time a new ion beam analytical method based on the elastic recoil of target nuclei collided with high-energy heavy incident ions. In this case, 25–40-MeV 35Cl impinged on a multilayer C or Cu (backing)/LiF or LiOH/Cu (30–150 nm)/LiF or LiOH and H, Li, O, and F recoiled atoms were detected. These exemplified the main characteristics of elastic recoil detection analysis (ERDA): its sensitivity to depth distribution and its ability to detect light elements in heavy substrates. In 1979, the use of megaelectronvolt energy 4He beams permitted the use of ERDA to be extended to depth profiling of hydrogen isotopes in the near-surface regio…
Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
Thermal properties of various silver precursors known in the literature were evaluated in order to discover which precursor is the most suitable one for plasma-enhanced atomic layer deposition (PEALD) of silver thin films. Ag(fod)(PEt3) (fod = 2,2-dimethyl-6,6,7,7,8,8,8-heptafluorooctane-3,5-dionato) was found to be the best choice. Using Ag(fod)(PEt3) together with plasma-activated hydrogen, silver thin films were deposited at growth temperatures of 120–150 °C, and ALD-type saturative growth was achieved at 120–140 °C. At 120 °C, the growth rate was 0.03 nm per cycle. The plasma exposure time had also an effect on the growth rate: with shorter exposure times, the growth rate was lower over…
Variation of lattice constant and cluster formation in GaAsBi
We investigate the structural properties of GaAsBi layers grown by molecular beam epitaxy on GaAs at substrate temperatures between 220–315 C. Irrespective of the growth temperature, the structures exhibited similar Bi compositions, and good overall crystal quality as deduced from X-Ray diffraction measurements. After thermal annealing at temperatures as low as 500 C, the GaAsBi layers grown at the lowest temperatures exhibited a significant reduction of the lattice constant. The lattice variation was significantly larger for Bi-containing samples than for Bi-free low-temperature GaAs samples grown as a reference. Rutherford backscattering spectrometry gave no evidence of Bi diffusing out o…
Low Temperature Growth of High Purity, Low Resistivity Copper Films by Atomic Layer Deposition
The atomic layer deposition of copper metal thin films was achieved using a three precursor sequence entailing Cu(OCHMeCH2NMe2)2, formic acid, and hydrazine. A constant growth rate of 0.47−0.50 A/cycle was observed at growth temperatures between 100 and 170 °C. The resulting films are high purity and have low resistivities.
Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
The atomic layer deposition (ALD) of AlN from AlCl3 was investigated using a thermal process with NH3 and a plasma-enhanced (PE)ALD process with Ar/NH3 plasma. The growth was limited in the thermal process by the low reactivity of NH3, and impractically long pulses were required to reach saturation. Despite the plasma activation, the growth per cycle in the PEALD process was lower than that in the thermal process (0.4A ° vs 0.7A ° ). However, the plasma process resulted in a lower concentration of impurities in the films compared to the thermal process. Both the thermal and plasma processes yielded crystalline films; however, the degree of crystallinity was higher in the plasma process. The…
Studies on atomic layer deposition of IRMOF-8 thin films
Deposition of IRMOF-8 thin films by atomic layer deposition was studied at 260–320 C. Zinc acetate and 2,6-naphthalenedicarboxylic acid were used as the precursors. The as-deposited amorphous films were crystallized in 70% relative humidity at room temperature resulting in an unknown phase with a large unit cell. An autoclave with dimethylformamide as the solvent was used to recrystallize the films into IRMOF-8 as confirmed by grazing incidence x-ray diffraction. The films were further characterized by high temperature x-ray diffraction (HTXRD), field emission scanning electron microscopy, Fourier transform infrared spectroscopy (FTIR), time-of-flight elastic recoil detection analysis (TOF-…
Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils
In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5–2.2 Å cycle −1 in the temperature range of 80–350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin mul…
A generalized noise study of solid-state nanopores at low frequencies
Nanopore technology has been extensively investigated for analysis of biomolecules, and a success story in this field concerns DNA sequencing using a nanopore chip featuring an array of hundreds of biological nanopores (BioNs). Solid-state nanopores (SSNs) have been explored to attain longer lifetime and higher integration density than what BioNs can offer, but SSNs are generally considered to generate higher noise whose origin remains to be confirmed. Here, we systematically study low-frequency (including thermal and flicker) noise characteristics of SSNs measuring 7 to 200 nm in diameter drilled through a 20-nm-thick SiNx membrane by focused ion milling. Both bulk and surface ionic curren…
Depth profiling of Al2O3 + TiO2 nanolaminates by means of a time-of-flight energy spectrometer
Atomic layer deposition (ALD) is currently a widespread method to grow conformal thin films with a sub-nm thickness control. By using ALD for nanolaminate oxides, it is possible to fine tune the electrical, optical and mechanical properties of thin films. In this study the elemental depth profiles and surface roughnesses were determined for Al2O3 + TiO2 nanolaminates with nominal single-layer thicknesses of 1, 2, 5, 10 and 20 nm and total thickness between 40 nm and 60 nm. The depth profiles were measured by means of a time-of-flight elastic recoil detection analysis (ToF-ERDA) spectrometer recently installed at the University of Jyväskylä. In TOF-E measurements 63Cu, 35Cl, 12C and 4He ions…
Photo-assisted O− and Al− production with a cesium sputter ion source
It has been recently proposed that the production of negative ions with cesium sputter ion sources could be enhanced by laser-assisted resonant ion pair production. We have tested this hypothesis by measuring the effect of pulsed diode lasers at various wavelengths on the O− and Al− beam current produced from Al2O3 cathode of a cesium sputter ion source. The experimental results provide evidence for the existence of a wavelength-dependent photo-assisted enhancement of negative ion currents but cast doubt on its alleged resonant nature as the effect is observed for both O− and Al− ions at laser energies above a certain threshold. The beam current transients observed during the laser pulses s…
Review article: recommended reading list of early publications on atomic layer deposition - outcome of the "virtual Project on the History of ALD"
Atomic layer deposition (ALD), a gas-phase thin film deposition technique based on repeated, self-terminating gas-solid reactions, has become the method of choice in semiconductor manufacturing and many other technological areas for depositing thin conformal inorganic material layers for various applications. ALD has been discovered and developed independently, at least twice, under different names: atomic layer epitaxy (ALE) and molecular layering. ALE, dating back to 1974 in Finland, has been commonly known as the origin of ALD, while work done since the 1960s in the Soviet Union under the name "molecular layering" (and sometimes other names) has remained much less known. The virtual proj…
Lithography exposure characteristics of poly(methyl methacrylate) (PMMA) for carbon, helium and hydrogen ions
Abstract Poly(methyl methacrylate) is a common polymer used as a lithographic resist for all forms of particle (photon, ion and electron) beam writing. Faithful lithographic reproduction requires that the exposure dose, Θ, lies in the window Θ 0 ⩽ Θ Θ × 0 , where Θ 0 and Θ × 0 represent the clearing and cross-linking onset doses, respectively. In this work we have used the programmable proximity aperture ion beam lithography systems in Chiang Mai and Jyvaskyla to determine the exposure characteristics in terms of fluence for 2 MeV protons, 3 MeV 4 He 2 + and 6 MeV 12 C 3 + ions, respectively. After exposure the samples were developed in 7:3 by volume propan-2-ol:de-ionised water mixture. At…
Studies on atomic layer deposition of MOF-5 thin films
International audience; Deposition of MOF-5 thin films from vapor phase by atomic layer deposition (ALD) was studied at 225-350 degrees C. Zinc acetate (ZnAc2) and 1,4-benzenedicarboxylic acid (1,4-BDC) were used as the precursors. The resulting films were characterized by UV-Vis spectrophotometry, Fourier transform infrared spectroscopy (FTIR), optical microscopy, X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), time-of-flight elastic recoil detection analysis (TOF-ERDA), isopropanol adsorption tests, and nanoindentation. It was found out that the as-deposited films were amorphous but crystallized in humid conditions at room temperature. The crystalline films h…
Control of Oxygen Nonstoichiometry and Magnetic Property of MnCo2O4 Thin Films Grown by Atomic Layer Deposition
Spinel-structured (Mn,Co)3O4 thin films were reproducibly fabricated by atomic layer deposition (ALD) using Mn(thd)3, Co(thd)2, and ozone as precursors. A full control of the cation ratio was achieved in the temperature interval 140−160 °C within which also the growth rate remained constant. Precise control of the oxygen content of as-deposited MnCo2O4+δ films was achieved through postdeposition heat treatments at prefixed temperatures in air and N2 atmospheres, as evidenced from the monotonous increases of both the unit cell volume and the Curie temperature (TC) with increasing annealing temperature/decreasing oxygen partial pressure. The TC value varied from 92 K for the as-deposited MnCo…